chevron_left

メインカテゴリーを選択しなおす

cancel
  • 74HC161A / 4bit 同期バイナリカウンタIC を使ってみる

    図1. 74HC161A(SOP16)+変換基板74HC161A は 4bit 同期カウンタ IC、バイナリ出力のアップカウント動作を行ないます。同期プリセット付、非同期クリアタイプで、同期型カスケード接続のためのイネーブル入力とキャリー出

  • アナログ回路 / エミッタフォロワ増幅回路

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / エミッタ接地増幅回路の出力の歪み

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / エミッタ接地増幅回路 (交流帰還なし) の実験

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / エミッタ接地増幅回路 (交流帰還なし) の等価回路

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / エミッタ接地増幅回路 (交流帰還あり) の等価回路

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / エミッタ接地増幅回路に正弦波を入力してみる

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / ツインT形正弦波発振回路

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / エミッタ接地増幅回路の増幅動作

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / 電流帰還バイアス回路

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度のことをやっていき

  • アナログ回路 / エミッタ接地増幅回路の基本動作

    アナログ回路というと、なんだかむずかしい。増幅回路も、わかるようなわからんような。そこで、増幅回路についてあらためて勉強してみようと思います。むずかしい理論は教科書みてください。ここでは、俺が電子工作でかんたんに遊べる程度の、おさらいをして

  • タイマIC NE555 を使ってみた (6) / 電圧信号で制御する PWM波発生回路

    NE555は、タイマ回路や発振回路に使われるとてもポピュラーな ICです。が、じつは俺、まだ使ったことがない。なので、タイマIC NE555について調べてみました。前回は、コンパレータと NANDゲートをつかって、555タイマのような回路を

  • タイマIC NE555 を使ってみた (5) / コンパレータとNANDで 555をつくる

    NE555は、タイマ回路や発振回路に使われるとてもポピュラーな ICです。が、じつは俺、まだ使ったことがない。なので、タイマIC NE555について調べてみました。前回は、ネットでよくみかける 5つの回路例を、じっさいにつくって、動かしてみ

  • タイマIC NE555 を使ってみた (4) / 5つの回路例

    NE555は、タイマ回路や発振回路に使われるとてもポピュラーな ICです。が、じつは俺、まだ使ったことがない。なので、タイマIC NE555について調べてみました。前回は、非安定動作 (A-stable Operation) について確認し

  • タイマIC NE555 を使ってみた (3) / 非安定動作

    NE555は、タイマ回路や発振回路に使われるとてもポピュラーな ICです。が、じつは俺、まだ使ったことがない。なので、タイマIC NE555について調べてみました。前回は、単安定動作 (Mono-stable Operation) について

  • タイマIC NE555 を使ってみた (2) / 単安定動作

    NE555は、タイマ回路や発振回路に使われるとてもポピュラーな ICです。が、じつは俺、まだ使ったことがない。なので、タイマIC NE555について調べてみました。前回は、NE555の内部回路と動作の概要について確認しました。今回は、単安定

  • タイマIC NE555 を使ってみた (1) / 動作の概要

    NE555は、タイマ回路や発振回路に使われるとてもポピュラーな ICです。が、じつは俺、まだ使ったことがない。なので、タイマIC NE555について調べてみました。NE555 の中ってどうなっているの?ググってみると、いろんな応用回路が (

  • トランジスタを使ったLEDフラッシャ 3つの回路

    LEDフラッシャを、トランジスタでつくってみました。いわゆる Lチカは、LEDが周期的に明滅する、あるいは 2つの LEDが交互に点灯する、といった感じですが、フラッシャは、周期的に短い時間だけ点灯する回路です。なんかさぁ、LEDが周期的に

  • ロジックICで作る電子サイコロ

    電子サイコロを、ロジックIC で作ってみました。この電子サイコロの回路は「しなぷすのハード製作記」を参考にさせていただきました。ありがとうございます。仕様、設計方法など詳細にご説明されていますので、ぜひご参照ください。電子サイコロの仕様ロジ

  • 光を感じて鳴る電子オルゴール

    電子オルゴールを作ってみました。CdSセルで光を検知し、明るくなったらメロディICを起動して、圧電スピーカを鳴らします。孫が遊びにきたおりにメロディICを鳴らして遊んだのですが、こいつをオルゴールにして小物入れとか引き出しとかに入れておいた

  • ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (4) / 回転数を PID制御する

    ブラシ付モータ FA-130RA-2270 を、Hブリッジ回路で駆動し、PWM で速度制御しています。今回は、回転数を一定にする制御を、PID制御でおこなってみます。前回は、ギヤボックスの出力軸にエンコーダを取り付け、回転数をフィードバック

  • ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (3) / エンコーダをつくる

    ブラシ付モータ FA-130RA-2270 を、Hブリッジ回路で駆動し、PWM で速度制御しています。今回は、エンコーダを取り付け、回転数を検出できるようにします。前回までに、ブラシ付モータを回すための回路をつくり、Arduino のスケッ

  • ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (2) / スケッチを描く

    ブラシ付モータ FA-130RA-2270 を回します。モータのドライブは Hブリッジ回路を使用し、PWM で速度を制御します。前回は、モータを回すための回路をつくりました。ポイントは、PWM信号の周波数をどうするか、でしたね。今回はモータ

  • ブラシ付モータ FA-130RA を Hブリッジ回路で PWM制御する (1) / 回路をつくる

    ブラシ付モータ FA-130RA-2270 (*1) を回します。モータのドライブは Hブリッジ回路を使用し、PWMで速度を制御します。(*1) マブチモータとおなじ品番ですが、秋月電子通商で購入した MERCURY MOTOR 製の互換品

  • 冷却ファンの PID制御 / 制御の状況

    冷却ファンの回転数を検出し、PID制御する回路を作ります。前回までに、冷却ファンを PWM駆動し、回転数をフィードバックして、Arduino で PID制御することができました。いい感じに動いてます。が、じつは、どんなのがいい感じなのか、よ

  • 冷却ファンの PID制御 / 回転数を PID制御する

    冷却ファンの回転数を検出し、PID制御する回路を作ります。前回までに、ファンの制御に必要なフィードバック回路ができあがりました。今回はいよいよ、ファンの回転数を PID制御してみようと思います。毎度まいどですが、PID制御についてはググって

  • 冷却ファンの PID制御 / Arduino から制御する回路

    冷却ファンの回転数を検出し、PID制御する回路を作ります。前回は、じっさいに CPU冷却ファンを回転させ、回転数センサからの出力を Arduino NANO で検出、7セグメントLED で回転数を表示できるようにしました。今回は、ファンを

  • 冷却ファンの PID制御 / パルス周期と回転数

    冷却ファンの回転数を検出し、PID制御する回路を作ります。前回は、ファンを回して回転数センサから出力を取りだし、表示するための回路を作りました。回路は、ファンの PWM駆動回路、回転数パルスの検出回路、そして 7セグメントLED 表示器の回

  • 冷却ファンの PID制御 / ファンモータ PWM駆動回路

    冷却ファンの回転数を検出し、PID制御する回路を作ります。以前から何度か回してみている冷却ファン。こいつの PWM駆動はやりましたけど、回転数を検出するのは、これまでちゃんとやってなかったです。そこで、ファンの回転数を検出してフィードバック

  • キャノンインクジェットプリンタ TS3530 をセットアップする

    年賀状印刷あるある。プリンターが紙送りしない!LibreOffice Writer での宛名差し込み印刷が、無事できたと思ったら、今度はプリンタの紙詰まりに見舞われる、年末の気分慌ただしい今日この頃 (;´Д`)使っているプリンタは、キャノ

  • 7セグメントLEDデコーダ TC4511 の表示フォントを変更する

    7セグメントLEDデコーダ TC4511 が出力する表示フォントを変更する組み合わせ回路を作りました。TC4511 が表示する数値のうち「6」「7」「9」のフォントが嫌いだという記事を、たまに目にします。で、違うデコーダを探しても、なんか

  • LibreOffice Writer 差し込み印刷の基本のキ

    LibreOffice Writer で差し込み印刷を行なう手順。俺自身のための備忘録です。12月という時節柄、ハガキの宛名の差し込み印刷をする必要にせまられます。ところが、いかんせん年に一度の作業なゆえに、どーすんるんだっけ〜? 毎度まい

  • 加算器でカウンタをつくる / 10進カウンタ

    4ビット加算器を使った 10進カウンタを、ロジックICで作ります。アップカウンタ、ダウンカウンタの切り換え付きとしました。前回は、4ビット加算器を使って 16進カウンタ (4ビットカウンタ) を作りました。毎度のことですが、16進カウンタで

  • 加算器でカウンタを作る / 16進カウンタ

    4ビット加算器を使った 16進カウンタ (4ビットカウンタ) を、ロジックICで作ります。前回は、4ビット加算器で 4ビットカウンタを構成し、シミュレーションしてみました。アップカウンタ、ダウンカウンタとしてうまく動くようです。今回は、この

  • 加算器でカウンタを作る / 構成とシミュレーション

    4ビット加算器を使った 4ビットカウンタを、ロジックICで作ります。前回は、4ビット加算器をロジックICで作ってみました。その過程で、加算器を使ってカウンタができんじゃねーのと思いついた。あ、思いついた、んじゃなくて、たぶん、どこかのサイト

  • 論理回路 / 4 ビット加算器をロジック IC でつくる

    前回は、4 ビット加算器を構成し、動作を VerilogHDL でシミュレーションしました。今回は、じっさいにロジック IC を使って、4 ビット加算器をつくってみたいと思います。回路図構成ブロック図図 1 が、全体の構成ブロック図 (再掲

  • 論理回路 / 4 ビット加算器の構成とシミュレーション

    前回は、半加算器、全加算器のおさらいと、動作のシミュレーションを行ないました。1 ビットの加算をしてみたところで意味ないし、つまんないので、今回は 4 ビット加算器をつくってみましょう。まぁそれでも 30 まで (*) しか加算できない (

  • 論理回路 / 4 ビット加算器の構成とシミュレーション

    前回は、半加算器、全加算器のおさらいと、動作のシミュレーションを行ないました。1 ビットの加算をしてみたところで意味ないし、つまんないので、今回は 4 ビット加算器をつくってみましょう。まぁそれでも 30 まで (*) しか加算できない (

  • 論理回路 / 4 ビット加算器の構成とシミュレーション

    前回は、半加算器、全加算器のおさらいと、動作のシミュレーションを行ないました。1 ビットの加算をしてみたところで意味ないし、つまんないので、今回は 4 ビット加算器をつくってみましょう。まぁそれでも 30 まで (*) しか加算できない (

  • 論理回路 / 半加算器と全加算器

    論理回路のおさらいです。今回は、半加算器と全加算器について。半加算器A B S C 0000011010101101図 1. 半加算器の真理値表半加算器は、1 ビットの加算を行なう回路だけど、下位からの桁上げ入力がない最下桁の加算器。図 1

  • 論理回路 / 半加算器と全加算器

    論理回路のおさらいです。今回は、半加算器と全加算器について。半加算器A B S C 0000011010101101図 1. 半加算器の真理値表半加算器は、1 ビットの加算を行なう回路だけど、下位からの桁上げ入力がない最下桁の加算器。図 1

  • 論理回路 / 半加算器と全加算器

    論理回路のおさらいです。今回は、半加算器と全加算器について。半加算器A B S C 0000011010101101図 1. 半加算器の真理値表半加算器は、1 ビットの加算を行なう回路だけど、下位からの桁上げ入力がない最下桁の加算器。図 1

  • VerilogHDL 波形から回路をつくる

    今回の例題はこれ。波形から回路をつくる図 1. ある回路から出力された信号問題の波形は、図 1 のとおり。4MHz のクロックから発生された信号です。このような信号を発生させる回路をつくれ、との指令です。こんな波形、見たことありますねぇ。シ

  • VerilogHDL 波形から回路をつくる

    今回の例題はこれ。波形から回路をつくる図 1. ある回路から出力された信号問題の波形は、図 1 のとおり。4MHz のクロックから発生された信号です。このような信号を発生させる回路をつくれ、との指令です。こんな波形、見たことありますねぇ。シ

  • VerilogHDL クロック同期回路のシミュレーション

    次の例題にいきましょう。今回は「クロック同期回路」です。さすがに、さらにむずかしくなってきました。いろいろ、つまづいたり、てこずったり。クロック同期回路とは図 1. クロック同期回路 ブロック図クロック同期回路とは、図 1 みたいな回路です

  • VerilogHDL クロック同期回路のシミュレーション

    次の例題にいきましょう。今回は「クロック同期回路」です。さすがに、さらにむずかしくなってきました。いろいろ、つまづいたり、てこずったり。クロック同期回路とは図 1. クロック同期回路 ブロック図クロック同期回路とは、図 1 みたいな回路です

  • VerilogHDL ブロッキング代入とノンブロッキング代入

    次の例題は、ブロッキング代入とノンブロッキング代入の動作の違いを調べろ、というもの。「=」がブロッキング代入で、「<=」がノンブロッキング代入。小なりイコール、ではない (;´Д`)ブロッキング代入とノンブロッキング代入ブロッキング代

  • VerilogHDL ブロッキング代入とノンブロッキング代入

    次の例題は、ブロッキング代入とノンブロッキング代入の動作の違いを調べろ、というもの。「=」がブロッキング代入で、「<=」がノンブロッキング代入。小なりイコール、ではない (;´Д`)ブロッキング代入とノンブロッキング代入ブロッキング代

  • VerilogHDL 接続された回路のシミュレーション

    だんだんむずかしくなってきましたが、がんばっていきましょう。今回は、モジュールを接続してみよう、という問題です。問題が求めているものは、10 進カウンタのモジュールと 7 セグメント LED デコーダのモジュールとを接続したモジュールをつく

  • VerilogHDL 接続された回路のシミュレーション

    だんだんむずかしくなってきましたが、がんばっていきましょう。今回は、モジュールを接続してみよう、という問題です。問題が求めているものは、10 進カウンタのモジュールと 7 セグメント LED デコーダのモジュールとを接続したモジュールをつく

  • VerilogHDL 7セグメントデコーダのシミュレーション

    引き続き、例題をシミュレーションしてみましょう。今回は、これ。えーと、記述のお作法ってゆーか、VerilogHDL の記述って新旧いろいろあるらしい。これまでの記述も間違いじゃなくて、そんなふうにも書けます、ってところかな。どれが新しいのか

  • VerilogHDL 7セグメントデコーダのシミュレーション

    引き続き、例題をシミュレーションしてみましょう。今回は、これ。えーと、記述のお作法ってゆーか、VerilogHDL の記述って新旧いろいろあるらしい。これまでの記述も間違いじゃなくて、そんなふうにも書けます、ってところかな。どれが新しいのか

  • VerilogHDL / 論理回路をシミュレーションする (例題 3)

    少しづつですが、なんだか、わかってきましたね (^_^;) 今回は、この問題をやってみます。4 ビットカウンタをシミュレーションする図 1 4ビットカウンタ4 ビットカウンタは、クロックをカウントして 4 ビットの値を出力する、順序回路です

  • VerilogHDL / 論理回路をシミュレーションする (例題 2)

    2つ目の例題です。4 ビット加算器をシミュレーションする図 1 4ビット加算器図 1 に示す、4 ビット加算器のシミュレーションをしましょう。論理回路のおさらいに、加算器はまだ登場してませんけど、むずかしいもんじゃないです。4 ビット加算器

  • VerilogHDL / 論理回路をシミュレーションする (例題 1)

    VerilogHDL と GTKWave の環境が整いましたので、いくつか例題を試してみようと思います。以下のサイトの問題を、例題にさせていただきました。組み合わせ回路をシミュレーションする図 1 組み合わせ回路組み合わせ回路です。まぁ、簡

  • VerilogHDL / 論理回路をシミュレーションする (準備編)

    カウンタ回路で遊びつかれたので (;´Д`)、ちょっと違うことをしようかな。といっても、論理回路つながりで、VerilogHDL を勉強してみようと思います。まぁ、FPGA とか使うつもりはないんだけど、パソコン上でシミュレーションできるの

  • 7セグをダイナミック点灯させる (3) / ブランキング

    前回までに、7 セグメント LED のダイナミック点灯が、ほぼ、できあがりました。が、ゴーストがでている、という問題点が残っています。ゴーストとは、デジットの切り換えと表示するデータの切り換えとのわずかなタイミングのずれのために、ほんらい点

  • 7セグをダイナミック点灯させる (2) / 入力切換制御

    前回は、7 セグメント LED まわりと、デジット側を制御する回路をつくりました。基本は、4 進カウンタの出力を 2to4 ラインデコーダに入力し、そのアドレスに応じた出力の桁を点灯させる、というものです。この状態で、BCD to 7 セグ

  • 7セグをダイナミック点灯させる (1) / デジット制御

    先に製作したデジタル時計では、表示器として 7 セグメント LED を使用し、スタティック点灯させていました。それはそれでいいのですが、単純に技術的な興味から、ダイナミック点灯させるってのもやってみたいなと考えていました。もちろん、Ardu

  • 続・デジタル時計をつくる (3) / 時刻合わせ回路

    これまでに、秒、分、時をカウントする回路ができあがりました。「続・デジタル時計をつくる」記事一覧続・デジタル時計をつくる (2) / D-FF を使ったカウンタ続・デジタル時計をつくる (1) / カウンタを同期させる時計のような形になって

  • 続・デジタル時計をつくる (2) / D-FF を使ったカウンタ

    前回は、秒カウンタ、分カウンタに使う、同期 60 進カウンタをつくりました。「続・デジタル時計をつくる」記事一覧続・デジタル時計をつくる (1) / カウンタを同期させる今回は、時をカウントする同期 12 進カウンタをつくります。といっても

  • 続・デジタル時計をつくる (1) / カウンタを同期させる

    復活 (^_^;)前回までに「低機能」なデジタル時計を、つくってみました。とりあえず、まぁ使えるでしょう。使えるんじゃないかな、わからんけど。「デジタル時計をつくる」記事一覧デジタル時計をつくる (8) / 基準クロック回路デジタル時計をつ

  • デジタル時計をつくる (8) / 基準クロック回路

    ロジック IC を使って、デジタル時計をつくっています。前回は、基準クロック発振回路として 32.768KHz の発振回路をつくってみました。「デジタル時計をつくる」記事一覧デジタル時計をつくる (7) / 32.768KHz 発振回路デジ

  • デジタル時計をつくる (7) / 32.768KHz 発振回路

    ロジック IC を使って、デジタル時計をつくっています。前回までに、時計としての機能がほぼできあがってきました。「デジタル時計をつくる」記事一覧デジタル時計をつくる (6) / 時刻合わせ回路デジタル時計をつくる (5) / 60 分周回路

  • デジタル時計をつくる (6) / 時刻合わせ回路

    前回までに、秒、分、時カウンタと、7 セグメント LED 表示器を、つくってきました。「デジタル時計をつくる」記事一覧デジタル時計をつくる (5) / 60 分周回路デジタル時計をつくる (4) / 7 セグ LED 表示回路デジタル時計を

  • デジタル時計をつくる (5) / 60 分周回路

    デジタル時計をつくるシリーズ。時刻表示を行なうための基本的な回路が、だんだんできあがってきました。7 セグメント LED 表示部もできて、外観はすでに時計な気分。しかし、できあがった時計のようなモノは、時刻合わせができないので、使いものにな

  • デジタル時計をつくる (4) / 7 セグ LED 表示回路

    前回は、12 進カウンタ回路を作り、時計の時分のカウントができるようになりました。動作テストをするときに、LED によるビット表示だけでは、判断がちょっとめんどうなので、デジタル表示部だけちゃちゃっと作ってしまいました。今回は、その回路を載

  • デジタル時計をつくる (3) / 12 進カウンタ

    前回は、秒、分をカウントする 60 進カウンタを作りました。今回は、時をカウントする 12 進カウンタを作りましょう。12 進カウンタ60 進カウンタと同様に、1 位と 10 位とをわけて考えてみましょう。1 位は 0~9 をカウントする

  • デジタル時計をつくる (2) / 60 進カウンタ

    さてと、ではデジタル時計をつくっていきましょうか (^_^;)全体の構想は、俺の頭の中ではほぼできているのだけれども、それはこれから、たぶん、いろいろ変わっていくだろうから、まだ頭の中に置いておきます。各ブロックごとに、じっさいに作ってみな

  • デジタル時計をつくる (1) / 仕様

    前回は、10 進カウンタをつくり、出力された BCD コードを 7 セグメント LED に表示させてみました。で、こいつをながめていたら、時計をつくってみようか、と、思った。でも、時計って、かなり難しいんですよねぇ。いまでは 1,000 円

  • 論理回路 / 10 進同期カウンタと 7 セグ LED

    カウンタですから、数を数えるのが働きです。ふつー、数を数えるときは 10 進数で数えます。ってことで、10 進カウンタをつくってみることにしましょう。で、こいつはいわゆる BCD (2 進化 10 進) といいます。BCD は、10 進数の

  • 論理回路 / 16 進同期カウンタ (4bit)

    前回は、JK フリップフロップを使った 3 ビットの 8 進同期カウンタを作ってみました。今回は、もうひとつ増やした 4 ビットの 16 進カウンタを設計してみます。だんだん規模が大きくなっていきますが、基本は同じですので、難しくはないです

  • 論理回路 / 8 進同期カウンタ (3bit)

    前回は、JK フリップフロップを使った 4 進同期カウンタを設計してみました。あちこちサイトをみていると、3 進だ 5 進だ n 進だと、いろいろでてきます。でもまぁ、どれも考え方は同じなわけで、必要になったら考えればよいかな、と。で、4

  • 論理回路 / 4 進同期カウンタ (2bit)

    一般に、カウンタ回路は同期式が利用されている。非同期式は、回路の遅延のばらつきが大きく、動作が不安定になりやすい。同期式は、回路が複雑になるが、動作が安定している。ってことで、同期カウンタ回路を勉強しましょう。なお、多くのグーグル先生にたく

  • 論理回路 / 分周回路・カウンタ回路

    さて、と。フリップフロップをひととおりおさらいしたので、次は分周回路について勉強します。じつは俺、分周回路を自分で組んでみたことがないです。理屈は知っていても使ったことがない。なので、ワケわかんねぇ話、しだすかもしれないけれど、まぁテキトー

  • 論理回路 / JK フリップフロップ

    前回は D フリップフロップを試してみました。今回は、JK フリップフロップをみてみたいと思います。が、そのまえにちょっと、RS フリップフロップについて、もう一度おさらいしましょう。RS フリップフロップ (RS ラッチ)図 1 RS ラ

  • 論理回路 / Dフリップフロップ

    前回は、マスタースレーブ型 RS フリップフロップを作ってみました。ここまで、RS フリップフロップについておさらいしてきましたが、今回は D フリップフロップについてみていこうと思います。D フリップフロップD フリップフロップとは、入力

  • 論理回路 / マスタースレーブ型 RS フリップフロップ

    前回は、ゲート型 RS フリップフロップを作ってみました。ゲート型 RS フリップフロップでは、入力ゲートにクロックを入れることで、入力の制御ができました。でも、クロックが "1" の間は、入力が変化すると出力も変化して

  • 論理回路 / ゲート型 RS フリップフロップ

    前回は RSフリップフロップのおさらいをしました。今回も RS フリップフロップですが、入力をクロックで同期できるタイプのものを試してみます。ゲート型 RS フリップフロップ実験回路前回 NAND で作った RS フリップフロップを、ちょっ

  • 論理回路 / RSフリップフロップ

    前回は基本的なゲート回路についておさらいしました。今回も、もう少しおさらいを続けてみます。RS フリップフロップフリップフロップは、1 ビットの情報を記憶することができる論理回路。RS フリップフロップは、リセット (Reset) とセット

  • 論理回路 / 基本的な論理回路

    これまでにも扱ったことのある論理回路について。もう少し勉強してみたいところもあるので、ロジック IC などと戯れてみようかと思います。まずは、おさらいから。基本的な論理回路論理回路の基本というと、AND、OR、NOT です。が、TTL とか

  • サーボモータ / リンク機構 (直線運動機構)

    リンク機構にはいろいろあります。ありすぎてキリがない (;´Д`)いろいろあるなかで、直線運動機構 (Straight line mechanism) をいくつか試してみました。直線運動機構とは、回転運動や揺動運動を直線運動に変換する機構で

  • サーボモータ / 4 節リンク機構 (不等長リンク)

    前回は、リンク機構が平行四辺形になっている等長リンクを試してみました。今回は、リンクの長さが異なる不等長リンクを作ってみました。が、うっかり、中間リンクをそのまま流用してしまったので、固定リンクと同じ長さになってるじゃん (;´Д`)まぁ大

  • サーボモータ / 4 節リンク機構 (等長リンク)

    前回はサーボモータ・ドライバを作りました。サーボモータだけギコギコ動かしていてもつまらないので、簡単なリンク機構を作って遊んでみようかと。いや、俺、ロボットとかにはあまり興味ないんですけど。等長リンク (平行リンク)等長リンク機構相対するリ

  • サーボモータ・ドライバを作ってみた

    PWM シリーズ (^_^;)サーボモータ・ドライバを作ってみました。こんなアナログな回路に需要があるかどうかは、わかりません。が、まぁ、Arduino 使わなくてもサーボモータをギコギコ動かすぐらいはできるよね、って話です。制御入力は 1

  • LED バーライトの調光器を作る

    LED バーライト昨年の秋に、楽天市場で買った LED バーライトです。電源は DC5V、USB コネクタから給電します。ランプは昼光色と電灯色の 2 系統で、それぞれスイッチでオンオフできます。けっこう明るくていいのですが、明るすぎて眩し

  • ジャンク – スイッチング電源

    ジャンク基板 - スイッチング電源20数年前に購入した、ある通信機器。ゴミとして捨てるのも芸がないので、毎度のごとく分解して基板を取り出した。そのなかにあったひとつ。つらつら眺めればすぐわかりますね、スイッチング電源のようです。仕様は、もち

  • シフトレジスタ 74HC595 を使う (2) スケッチ

    前回は、シフトレジスタ 74HC595 を使って LED を点滅させる「LED Scanner」の回路を作りました。今回は、この回路を動かすためのスケッチを書きましょう。スケッチ毎度の、俺自身のための備忘録的解説です。// LED Scan

  • シフトレジスタ 74HC595 を使う (1) 回路図

    これまでにも何回か使っているシフトレジスタ 74HC595 。先日の Larson Scanner でも使っているのですが、ちょっと気になることがあったので、再度、回路を組んでみました。Larson Scanner の記事はこちら。気になっ

  • Arduino で C++クラスを学ぶ (4) – インライン関数

    前回は、コンストラクタを定義して、メンバ変数の初期化などを行なうことを学びました。さて、できあがったスケッチは、以前みたことがあるような気が。そう、マルチタスクのレッスンで、初めて C++ クラスに出会ったときの、あのチンプンカンプンだった

  • Arduino で C++クラスを学ぶ (3) – コンストラクタ

    前回は、クラスのメンバへのアクセス制限について学習しました。一般に、メンバ変数は private、メンバ関数は public にし、メンバ変数への値の代入はメンバ関数を通して行なうとのことでした。できあがったスケッチは、つぎのとおり。Ard

  • Arduino で C++クラスを学ぶ (2) – メンバへのアクセス制限

    前回は、Arduino のスケッチで、クラスを宣言し利用する手順を学びました。そしてできたのが、下のスケッチです。2 つの LED が、同時に、独立して L チカ Flash します。Arduino で C++クラスを学ぶ (1) – クラ

  • Arduino で C++クラスを学ぶ (1) – クラスの宣言

    近頃は、見様見真似の C++ クラスもどきスケッチを書いていますが、Arduino IDE に叱られながらも、だんだんと雰囲気をつかみつつある meyon さんであります。なんと、これまでチンプンカンプンだった C++ の教科書が、少しずつ

  • Arduino Nano Every を使ってみる – 温湿度センサ DHT11

    以前、温湿度センサ DHT11 を使った温湿度計を作りました。一連の記事は 温湿度センサ DHT11 を使ってみる (3) (2) (1) です。DHT11 を使った温湿度計これは、Arduino NANO を使い、DHT11 からのデータ

  • Arduino Nano Every を使ってみる – Larson Scanner

    先日、LED を 8 個並べて、小さなイルミネーションを作ってみました。その後、ネットで見つけたのが Larson Scanner (ラーソンスキャナ) っていうもの。なんかねぇ、キットも売られているし、Arduino で作ったという記事も

  • Arduino Nano Every を使ってみる – サーボモータ

    前回は、3個のLED、2台のサーボモーター、1個のスイッチを使って、それぞれ独立して動作するマルチタスクのレッスンを、Arduino Nano Every で試してみました。今回は、ちょっと後先になるのですが、サーボモータを動かすレッスンを

  • Arduino Nano Every を使ってみる – マルチタスク

    以前、Arduino UNO でやってみたマルチタスク「すべてを一緒に All together now」を、Arduino Nano Every で試してみます。これは、3 個の LED 、2 台のサーボモータ、1 個の入力スイッチを、同

  • サーボモータ SG90 に流れる電流は 1.2A

    久しぶりに、部品箱からサーボモータ SG90 を出してきました。じつは、ずっと気になっていたのですが、サーボモータってどれだけの電流が流れるんですか?購入した秋月電子通商のサイトのデータシートにも書かれていないし、ググっても明確な答えが得ら

  • Arduino Nano Every を使ってみる – ホタルライト

    前回まで、デジタル出力を使って LED を点滅させるサンプルを試してみました。今回は、スケッチ例の「Fading」、アナログ出力 (PWM) を使って LED を明滅させるサンプルを試してみます。FadingArduino Nano Eve

  • Arduino Nano Every を使ってみる – L チカ (2)

    前回は、Arduino Nano Every の内蔵 LED を点滅させてみました。今回は、Arduino Nano Every 自身の動作ではないのですが、ちょっと初心に返って、外につけた LED を点滅させるみることにします。LED を

ブログリーダー」を活用して、meyonさんをフォローしませんか?

ハンドル名
meyonさん
ブログタイトル
meyon's STUDY
フォロー
meyon's STUDY

にほんブログ村 カテゴリー一覧

商用