chevron_left

メインカテゴリーを選択しなおす

cancel
有象無象LINUX https://fanblogs.jp/computersettings/

チェンジニアのおじちゃんが自分のコンピュータにした作業を適当にぶらぶらしゃべるブログです。

チェンジニア
フォロー
住所
未設定
出身
未設定
ブログ村参加

2021/07/28

arrow_drop_down
  • KI cad インストールしてみよう

    https://www.kicad.org/download/linux/ サイトにはいって なんと dnf install kicad kicad-packages3d kicad-doc すればいいだけらしい。なんとまぁ 肩透かしな

  • 【JAVA】チョロメを外部から動作させます。 幽霊が操作してるような

    役に立つ記事を書きたいなと最近思うようになったおっちゃんです。 子供バイリンガル子育ては一段落でエンジニアリング使えばネィティブように会話できる子供になりました。 おもしろいものです。 今日はあれです。JAVAを使って googlechrome を操作してみます。 chormedriver を JAVAからアクセスし 勤怠システムを自動でクリックします。 さっさと動作させてたい 原理なんざどうでも いいという貴兄におとどけ …

  • spartan3 を cy7c68013 のバルクライトで シリアルコンフィグしてみた

    もちろん パクリだ。 http://www.triplespark.net/elec/periph/USB-FX2/software/ この人すげー http://www.triplespark.net/elec/periph/USB-FX2/software/cycfx2prog-0.47.tar.gz これを ダウンロードして 展開 このなかから FX2に にプログラムをダウンロードしてくる部分を切り出します リセットなんかを 切り出します。 そして それを Qt5 みたいなプラットフォームで コンパイルします。 -l…

  • ブログ村に参加してみた。いまとのころブログ村からはだれもこない^^;

    こんばんは 寝る間際に 雑談んもいいところ ブログ村に参加してみたけど いまとのころだれもこない というか 記事が登録されないみたい。 だんだん頭が ピカードになってきたおっちゃんでした。 とりあえず 記事投稿が見えるかどうかのテストです。 では 明日は VHDLと格闘します。

  • PIC16F1503 を使ってみようかな?? MPLAB 内部クロック

    頑張るオヤジがPICマイコンに挑戦です。 内部クロックの使い方がわからない MPLABは ダウンロードしてインストールするだけなので 端折りました。 リソースマネージメントを使う リソースマネージメントを使わないと目も当てられません。 …

  • からっぽのつぎ のページ きっとはげ に なんか作用するかも

    からっぽのつぎ のページ きっとはげ に なんか作用するかも,すみませんC++,VHLD,LinuxLIveCD、Qt5使ったり PICマイコンとか たり たり 散漫な内容を書いています。別カカテゴリに。

  • GCEでワードプレス 第2 困ったのでやり直し手順

    GCEで ワードプレスインストールしたみたのだが 無料枠ではあまりに非力でどうにもならなかった 焼き直しだ。 改めて手順を書いてみる。 GCE ログインして インスタンスをつくる。 ssh-keygen -t rsa -b 4096 -C "your_email@example.com" わたしの場合 ssh-keygen -t rsa -b 4096 -C "darxxxxxda@gmail.com" こんな感じで 作って登録する。 ログインしたら sudo su まずルートユーザーになって y…

  • https://certbot.eff.org/lets-encrypt/centosrhel7-apache をみてハマったこと

    certbot が 動かない sudo snap install --classic certbot をするため Centos7 に snap をインストールした。 その手引きがここにあった。 https://certbot.eff.org/lets-encrypt/centosrhel7-apache sudo yum install mod_ssl がなくて こまった。 SSL モジュールがないなんて いうので これいれた これここに書かれていた https://stardevstudio.com/webserver/ssl_module-trouble-whi…

  • フェドラ をつかって switchbot をアクセス する。 ? 未解決

    https://github.com/OpenWonderLabs/python-host.git git ハブから あれしてなにして python3 -m pip install pybluez python-host/switchbot_py3.py --scan をしたところ とまった こまった 答えがでた。?? どうなることやら 今回は答えでないと思う bluez/btmodule.h:5:10: 致命的エラー: bluetooth/bluetooth.h: そのようなファイルやディレクトリはありません 5 #include

  • 【オープンVPN】setsebool -P openvpn_enable_homedirs 1 でうごいた

    異常です。 ちがった 以上です。 setsebool -P openvpn_enable_homedirs 1

  • 【QT5】わからないのでタイムアウトで自動でキャンセルボタン押すメッセージボックスつくってみた

    ほんとわけわからん でも動作だけはするのだ #ifndef SHORTMESSAGEBOX_H #define SHORTMESSAGEBOX_H #include #include class ShortMessageBox : public QWidget { Q_OBJECT public: explicit ShortMessageBox(QWidget *parent = nullptr); ~ShortMessageBox(); int exe…

  • qt5よくわからんのだが メッセージボックスの OKスイッチをタイマーで押してみた。

    { QMessageBox mbox ; mbox.setWindowTitle(QString("attention")); QString msg ="--------------------------------\n"; msg+="既に存在しています \n"; msg+=" 再構築する場合1.5秒位内にOKを押してください \n"; msg+="********************************\n"; mbox.setText(msg); mbox.show(); mbox.setSta…

  • 【boost】message queue 使い方 目も目も

    誤字脱字 気にしない おっちゃんです。 不真面目です。 では UUIDを つかって uuidgen 覚えにくい命令だ #define "" boost child は 仕様が いいかもなぁ WINDOWSじゃしらないが while(! pipe_stream) でループして getline で待ってると 最後にNULLがくる 面白いこれっていいのかな

  • 【バカの一つ覚え】ユニークID作成とそのちょっとした応用

    ユニークIDを 作るには リナクスの場合 uuidgen $ uuidgen 1aba7495-7df1-4bc6-9b21-c3605abebbea な感じだ。 これで uint64_t max_msg_num=100; try{ msgq= new boost::interprocess::message_queue( boost::interprocess::create_only, UUID, max_msg_num, sizeof(Data) ); } cat…

  • 【パソコン】【GPIO】いったいこれは?

    パソコン フェドラ LINUX なのだが ふと /dev/をみると /dev/gpiochip0 が 見える なんじゃこりゃ いわゆるパソコン が GPIOを持ってるように見える じゃ なにがおきるか知らんが アクセスしてみよう という わからん話だ。 ユーティリティを インストールする。 dnf install libgpiod-devel dnf install libgpiod-utils gpioinfo gpiochip0 すると 60ラインの なんだかわからないIOみたいな…

  • 【VHDL入門】ABZ信号から Hsync Vsyncを作り出してみよう その2

    と思ったのですが すみません VHDL入門て書いておきながら まとめるのが面倒臭すぎます 今回欠番です。 原理は 回転方向を 検出して おいて どうやってだ は おいておいて バックラッシュを検出して あれ して なにして ぐっと引いて バーーーン という話です。 実は2004年につくって もうかれこれ 17年 動作しつづけている回路っす。 すみません まとめるの面倒くさ…

  • 【VHDL入門】ABZ信号から Hsync Vsyncを作り出してみよう その1

    【VHDL入門】辛口かな ABZ信号から Hsync Vsyncを作り出してみよう ABZ が ABZである所以は 逆回転をキャンセルできることにある。 ロータリーエンコーダーはバックラッシュ起こすことがある。 ぷるぷると震えると あれでなにで Hsyncにしたとき Hsyncが 余分に? 出てしまいます。 ではどうすればいいでしょうか とりあえず 単純にデコードしてみましょう。 さっき作ったフリーランと デコーダー…

  • 【VHDL入門】速度比較 エンコーダーABZ相 ソースファイル付き

    前回200Mhzで動作できるかみたいな スパルタン3E だから スパルタン6では どうかなと 結果は スパルタン3E 160Mhz動作OK ^^; スパルタン6 280Mhz動作OK らしい ^^; 周期5Nではだめってさ

arrow_drop_down

ブログリーダー」を活用して、チェンジニアさんをフォローしませんか?

ハンドル名
チェンジニアさん
ブログタイトル
有象無象LINUX
フォロー
有象無象LINUX

にほんブログ村 カテゴリー一覧

商用